如何用JK触发器设计一个计数器?

如题所述

用JK触发器和附加门电路设计一个七进制加法计数器的总体步骤为

①画出计数器的状态转换图。
②根据状态图得出JK各个状态变量的逻辑值。
③将JK的逻辑状态代入卡诺图进行化简,得出JK表达式。
④根据JK表达式,画出计数器的原理图。
⑤仿真验证计数器的输出。

以下为详细分解:

①②步骤比较直观状态图如下。计数器需要3个JK触发器,标记为JK1/JK2/JK3.


步骤③卡诺图化简以J2为例,其他的值类似,J2的卡诺图为:

也即J2=BC=Q1Q0,所以简单的与门即可实现。

步骤④的电路原理图为:

步骤⑤的仿真验证计数器的输出为:(LED输出0~6并重复)

视频演示了仿真结果验证:

http://v.youku.com/v_show/id_XMjY2MDQ1MTcyMA==.html

温馨提示:答案为网友推荐,仅供参考
相似回答
大家正在搜