如何在VHDL中定义一个数组存放四个8位二进制数?

如题所述

声明一个二维数组,就可以将4个8位std_logic类型的值放在一个数组中了。
温馨提示:答案为网友推荐,仅供参考