设计一个比较电路,当输入的8421BCD码大于5是输出为1否则为0

如题所述

您好,是这样的:
1、①原理图,如图5-1所示:
②AHDL硬件描述语言输入:SUBDESIGN t5_1( d0,d1,d2,d3:INPUT; out: OUTPUT;)BEGIN IF( (d3,d2,d1,d0) >= 5 ) THEN out=VCC; ELSE out=GND; END IF;
END;2、①原理图,如图5-2所示:②AHDL硬件描述语言输入:SUBDESIGN t5_2( k0,k1,k2,k3:INPUT; out: OUTPUT;)BEGIN TABLE (k3,k2,k1,k0) => out; B"0000" => GND; B"0001" => VCC; B"0011" => GND; B"0010" => VCC; B"0110" => GND; B"0111" => VCC; B"0101" => GND; B"0100" => VCC; B"1100" => GND; B"1101" => VCC; B"1111" => GND; B"1110" => VCC; B"1010" => GND; B"1011" => VCC; B"1001" => GND; B"1000" => VCC; END TABLE;END;
3、①原理图,如图5-3所示:②AHDL硬件描述语言输入:SUBDESIGN t5_3( a,b,c : INPUT; a_out,b_out,c_out : OUTPUT;)BEGIN IF a THEN a_out=VCC; b_out=GND; c_out=GND; ELSIF b THEN a_out=GND; b_out=VCC; c_out=GND; ELSIF c THEN a_out=GND; b_out=GND; c_out=VCC; ELSE a_out=GND; b_out=GND; c_out=GND; END IF;END;
温馨提示:答案为网友推荐,仅供参考
第1个回答  推荐于2017-10-14

  74LS85比较器就可以,B3B2B1B0接0101(5),A3A2A1A0接BCD码,级联输入端a=b接1,输出端把A=B,A<B两个引脚都取反,然后和A>B与在一起。