如何将.mif文件的内容导入到我自己用Verilog设计的ROM内,求高手解答

我没用QuartusII软件调用LPM_ROM模块,因为不满足我的要求,所以自己设计了个,但是不知道怎么将数据写进这个ROM内,有没有高手曾经这样尝试过呀,急需解答

把.mif文件的路径写进自己设计的ROM模块的相应代码中就可以啦。我用的max+plus II自建的ROM,利用C语言生成的.mif文件,在ROM代码中引用了.mif文件的路径,就把数据写进去啦。追问

您好,因为我是刚学FPGA没多久,想再问问您在ROM代码哪块儿加.mif文件的路径呀,还有怎么加,您能不能把您加的时候的语句写一下我看看,就写您加的那段就行,太谢谢啦,终于找到高手啦

温馨提示:答案为网友推荐,仅供参考
第1个回答  2020-06-14