我用C生成的MIF文件用QUARTUS2打不开,为什么?

在QUARTUS界面出现警告,说 file contains no memory cell values
如下我的MIF文件用记事本打开
0:511;
1:514;
2:517;
3:520;
4:524;
5:527;
6:530;
7:533;
8:536;
9:539;
10:542;
11:545;
12:549;
13:552;
14:555;
15:558;
16:561;
17:564;
18:567;
19:570;
20:574;
21:577;
22:580;
23:583;
24:586;
25:589;
26:592;
27:595;
28:598;
29:602;
30:605;
31:608;
32:611;
33:614;
34:617;
35:620;
36:623;
37:626;
38:629;
39:632;
40:635;
41:638;
42:641;
43:644;
44:647;
45:650;
46:653;
47:656;
48:659;
49:662;
50:665;
51:668;
52:671;
53:674;
54:677;
55:680;
56:683;
57:686;
58:689;
59:692;
60:695;
61:698;
62:701;
。。。

你只输出数,鬼都不认识啊
看我的:

DEPTH = 512;
WIDTH = 8;
ADDRESS_RADIX = HEX;
DATA_RADIX = HEX;
CONTENT
BEGIN
0000 : 0080;
0001 : 0081;
0002 : 0083;
0003 : 0084;
0004 : 0086;
0005 : 0087;
0006 : 0089;
0007 : 008A;
0008 : 008C;
0009 : 008E;
000A : 008F;
000B : 0091;
000C : 0092;
000D : 0094;
……
……
END ;
温馨提示:答案为网友推荐,仅供参考